您的位置: 专家智库 > >

天津市高等学校科技发展基金计划项目(2004BA05)

作品数:1 被引量:5H指数:1
相关作者:张晟耿璐秦娟刘君常明更多>>
相关机构:天津理工大学更多>>
发文基金:天津市高等学校科技发展基金计划项目更多>>
相关领域:自动化与计算机技术更多>>

文献类型

  • 1篇中文期刊文章

领域

  • 1篇自动化与计算...

主题

  • 1篇电路
  • 1篇电路设计
  • 1篇硬件
  • 1篇硬件描述语言
  • 1篇时钟设计
  • 1篇数字电路
  • 1篇数字电路设计
  • 1篇描述语言
  • 1篇基于硬件
  • 1篇VHDL

机构

  • 1篇天津理工大学

作者

  • 1篇常明
  • 1篇刘君
  • 1篇秦娟
  • 1篇耿璐
  • 1篇张晟

传媒

  • 1篇天津理工大学...

年份

  • 1篇2007
1 条 记 录,以下是 1-1
排序方式:
基于硬件描述语言(VHDL)的数字时钟设计被引量:5
2007年
VHDL作为一种硬件描述语言,可用于数字电路与系统的描述、模拟和自动设计与仿真等,是当今电子设计自动化的核心技术.本文使用VHDL语言设计了一个数字时钟电路,给出了设计该数字系统的流程和方法.本设计方法具有硬件描述能力强,设计方法灵活,便于修改等优点,大大降低了数字系统设计的难度,提高了工作效率.
刘君常明秦娟张晟耿璐
关键词:硬件描述语言VHDL数字电路设计
共1页<1>
聚类工具0